Alongside Intel’s sizable announcement today regarding their manufacturing roadmap over the next half-decade, the company is also announcing their first major customer for their third-party foundry service, IFS. And in an example of how Intel’s entry into the contract fab business is going to make for some strange bedfellows, it turns out that major customer is Qualcomm.

Per Intel’s announcement, Intel and Qualcomm are partnering up to get Qualcomm products on Intel’s 20A process, one of the company’s most advanced (and farthest-out) process node. The first of Intel’s “Ångström” process nodes, 20A is due in 2024 and will be where Intel first implements Gate-All-Around (GAA) transistors, one of the major manufacturing technology milestones on Intel’s new roadmap.

Given that 20A isn’t due out for another three years, neither company is saying much more about the partnership at this point – we’re talking about chip designs that are still in their earliest stages – but even being able to name a major customer like Qualcomm is a big deal for Intel. Not only does it show that another major industry player has a degree of faith in what Intel is trying to accomplish with its silicon lithography technology, but it helps to validate Intel’s efforts to open up into the contract fab business.

Meanwhile, an announcement like this opens the door to all kinds of speculation over just what Qualcomm will be building over at Intel. Qualcomm is best known for their mobile SoCs, and the company already has significant experience using multiple fabs as a customer of both TSMC and Samsung. So it may be that Qualcomm is looking to build a mainstream mobile SoC or two at Intel as a way to get experience working with Intel and prove that Intel’s fabs will meet their needs. Alternatively, Qualcomm may be looking to take advantage of Intel’s PC-tuned manufacturing lines to produce Nuvia-infused laptop SoCs – which would mean Intel would be directly producing competing chips.

There are a lot of possibilities here over the long-run, though in the short-run it’s likely that Qualcomm is going to play things conservatively. So suffice it to say, it will be interesting to see just what Qualcomm is using their rival’s fabs for in a few years.

Qualcomm is excited about the breakthrough RibbonFET and PowerVia technologies coming in Intel 20A. We’re also pleased to have another leading-edge foundry partner enabled by IFS that will help the U.S. fabless industry to bring its products to an onshore manufacturing site.
-Cristiano Amon, President and CEO, Qualcomm

 

Source: Intel

Comments Locked

59 Comments

View All Comments

  • mode_13h - Thursday, July 29, 2021 - link

    > I think the foundry business can survive being merely competitive,
    > rather than retaking the lead,

    Sure, but broken promises can quickly get expensive.
  • Spunjji - Wednesday, July 28, 2021 - link

    Being a close second to a TSMC that's executing the way it currently is would also not be a bad result by any means.
  • JKflipflop98 - Friday, July 30, 2021 - link

    TSMC cant output as many wafers as Intel's manufacturing network.

    You know who had the first ASML EUV litho machine and did years of research and engineering that fed back to ASML so everyone else in the industry actually could use said tools? It sure wasn't TSMC.
  • Blastdoor - Thursday, August 5, 2021 - link

    Sure, and the Greeks invented Democracy. There's a limit to how long you can rest on your laurels.
  • mode_13h - Tuesday, July 27, 2021 - link

    At the first hint of schedule-slip by Intel, I'll bet Qualcomm is going to start hedging their bets by pursuing a Plan B with TSMC or Samsung.
  • Spunjji - Wednesday, July 28, 2021 - link

    I'm looking at this as their Plan B. It doesn't seem to involve any specific commitments to /not/ use another competitor, and if they don't have any similar agreements in place with TSMC the most likely reason would be that TSMC don't feel the need to sell access to nodes that are this far out on their roadmap.
  • Freeb!rd - Tuesday, July 27, 2021 - link

    Intel probably offered "buy one get 5 wafers free" to get Qualcomm in the door...
  • CyborgAlienRay - Tuesday, July 27, 2021 - link

    Not that it's going to matter but, hold onto your wallet... Prices will sky rocket!!!
  • regsEx - Sunday, August 1, 2021 - link

    Do we know SMIC "14 nm" and "7 nm" density?

Log in

Don't have an account? Sign up now